2
关注
1825
浏览

附加约束的作用?

您还未登录!暂时最多只可查看 1 条回答

登录! 还没有账号?去注册

LX3345680188 二阶会员 用户来自于: 广东省深圳市
2021-12-28 22:12

1、提高设计的工作频率(减少了逻辑和布线延时);

2、获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)

3、指定FPGA/CPLD的电气标准和引脚位置。


关于作者

问题动态

发布时间
2021-12-28 22:12
更新时间
2021-12-28 22:12
关注人数
2 人关注

推荐内容

为什么触发器要满足建立时间和保持时间?
IC设计中同步复位与异步复位的区别?
什么是同步逻辑和异步逻辑?
IC设计过程中将寄生效应的怎样反馈影响设计师的设计方案?
系统最高速度计算(最快时钟频率)和流水线设计思想?
FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项?
变压器绝缘损坏该如何处理?
变压器的定期修理周期是多久?
HDL语言的层次概念?
查找表的原理与结构?