2
关注
3116
浏览

什么是亚稳态?为什么两级触发器可以防止亚稳态传播?

您还未登录!暂时最多只可查看 1 条回答

登录! 还没有账号?去注册

LX3345680188 二阶会员 用户来自于: 广东省深圳市
2021-12-28 22:10

这也是一个异步电路同步化的问题。亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。

两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时 间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的 数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间 + 第二级触发器的建立时间 < = 时钟周期。

更确切地说,输入脉冲宽度必须大于同步时钟周期与第一级触发器所需的保持时间之和。

最保险的脉冲宽度是两倍同步时钟周期。所以,这样的同步电路对于从较慢的时钟域来的异步信号进入较快的时钟域比较有效,对于进入一个较慢的时钟域,则没有作用。


关于作者

问题动态

发布时间
2021-12-28 22:10
更新时间
2021-12-28 22:10
关注人数
2 人关注

推荐内容

Xilinx中与全局时钟资源和DLL相关的硬件原语?
MOORE 与 MEELEY状态机的特征?
为什么触发器要满足建立时间和保持时间?
建立时间与保持时间的概念?
附加约束的作用?
电源输入频率描述为50-60Hz与50/60Hz有什么区别?
对于多位的异步信号如何进行同步?
用flip-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage?
什么是同步逻辑和异步逻辑?
FPGA设计中对时钟的使用?(例如分频等)